Statistical Trend Analysis of Physically Unclonable Functions

Statistical Trend Analysis of Physically Unclonable Functions

An Approach via Text Mining

Koshiba, Takeshi; Bibak, Khodakhast; Zolfaghari, Behrouz; Mitra, Pinaki; Nemati, Hamid R.

Taylor & Francis Ltd

03/2021

160

Dura

Inglês

9780367754556

15 a 20 dias

294

Descrição não disponível.
Introduction

Cryptographic Hardware and Hardware-Assisted Cryptography
2.1 Existing Surveys
2.2 Design
2.2.1 Cryptographic Primitives
2.2.2 Cryptographic Hardware
2.2.3 Hardware-Assisted Ciphers
2.2.4 Automatic Design and Synthesis
2.2.5 Design Objectives
2.3 Implementation
2.3.1 Prototyping
2.3.2 Implementation Technologies
2.3.3 Implementation Goals and Challenges
2.4 Evaluation
2.4.1 Security Evaluation
2.4.2 Simulation and Performance Benchmarking

PUFs in Industry, Education Programs, and Books
3.1 Historical Background
3.2 PUF in Industry
3.3 PUF in Education Programs
3.4 PUF in Books

Preliminary Discussions
4.1 Related Works: A Survey on Surveys
4.2 Motivations
4.3 Methodology
4.4 Work Space

The classification Tree and Popularities
5.1 First Level Classification and Life Cycle
5.2 Design
5.2.1 Modeling
5.2.2 Design Objectives
5.2.3 Component Design
5.2.4 Post-Process, Expansion, Standardization and Alternatives
5.3 Implementation
5.4 Operation
5.4.1 Ecosystem
5.4.2 Interfaces
5.5 Evaluation and Production

Trend Analysis
6.1 Top Topics
6.1.1 The Most Popular Topics during the History
6.1.2 The Most Popular Topics in Top Conferences
6.1.3 Popular Topics in Top Journals
6.1.4 Popular Topics in Recent Years
6.2 Evolution Study

Future Roadmap
7.1 Trends
7.2 Conclusions and Further Works

References

Index
Este título pertence ao(s) assunto(s) indicados(s). Para ver outros títulos clique no assunto desejado.
PUF;Text Mining Tool;Physically Unclonable Function;FPGA;Text Mining;True Random Number Generators;Trend Analysis;Top Conference;Evolution Study;ASIC;Future Roadmap;Challenge Response Pair;Statistics;Extraction Circuit;Hardware Assisted Cryptography;Stream Ciphers;Cryptographic Hardware;Top Topics;Authentication systems;Dram;FPGA industry;Physically unclonable functions;Classification Tree;Internet of Things;Hardware-assisted cryptography;Block Ciphers;IoT;Hardware Software Codesign;System Level Objectives;Homomorphic Encryption;Galois Field;Hardware Trojans;ECDSA;Hardware Implementation;Statistical Trend Analysis